Questasim script error during compilation after a syntax error

Hi,
I have noticed a strange behaviour during the UVVM compilation using Questasim (an old version Questasim 10.7c).

I use the following command:
do …/Sources/uvvm_master/script/compile_all.do …/Sources/uvvm_master/script/

If I compile the UVVM library the command works fine, but let’s suppose that I perform a change in the UVVM source that contains a VHDL syntax error, here the behaviour is strange.

Here the sequence

  1. I compile the UVVM library using the previous command; (result: everything is OK)
  2. I perform a change in the UVVM source, but I made a mistake in the VHDL syntax.
  3. I compile the UVVM library using the previous command; (result: compilation Error)
  4. I revert all my changes, so I have the UVVM library in the exact same status as in step 1)
  5. I compile the UVVM library using the previous command; (result: script Error)
  6. I restart Questasim
  7. I compile the UVVM library using the previous command; (result: everything is OK)

The error I have in the step 5) is:
Unknown simulator. Attempting to use Modelsim commands.
** Error: couldn’t read file “…/Sources/uvvm_master/script//…/uvvm_util/compile_src.do”: no such file or directory

Am I doing something wrong or is there a problem with the script/Qustasim?

Thanks
Emanuele