Internal error during startup of Questasim

When I compile the uvvm files (latest version) I get a number of error messages

Time: 0 ps Iteration: 0 Region: /methods_pkg File: C:/Project_github/fdc_sib/pld/Questasim_batch/…/fpga1/uvvm_util/uvvm_util/hdl/methods_pkg.vhd

Loading uvvm_util.bfm_common_pkg(body)

Loading uvvm_util.generic_queue_pkg(body)

Loading uvvm_util.rand_pkg(body)

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 207 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 208 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 209 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 210 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 211 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 212 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 213 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 214 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 215 not found

. Please contact customer support for further assistance.

Loading uvvm_util.func_cov_pkg(body)

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 107 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 109 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 108 not found

. Please contact customer support for further assistance.

** Error: Internal Error - process_push_cov_static_scope_int: target static scope 110 not found

. Please contact customer support for further assistance.

Loading bitvis_vip_axilite.axilite_bfm_pkg(body)

Loading uvvm_vvc_framework.ti_protected_types_pkg(body)

Loading uvvm_vvc_framework.ti_vvc_framework_support_pkg(body)


Somebody knows what this error means and how to get rid of it?

“internal error” generally means contacting customer support for resolution.

Having said that, I downloaded UVVM 2021.10.22 and was able to compile the utils + vvc_framework and then run the uart example (using Questasim 2021.3)

I’m running on Windows, so these are the steps I followed:

a) From within Questa GUI, go to $UVVM_HOME/uvvm_util/script
b) do compile_src.do

This compiles code into uvvm_util library inside the uvvm_util/sim folder

a) From within Questa GUI, move to $UVVM_HOME/uvvm_vvc_framework/script
b) do compile_src.do

This compiles code into uvvm_vvc_framework library inside the uvvm_vvc_framework/sim folder

a) From within questa GUI, move to $UVVM_HOME/bitvis_uart/script
- do …/script/compile_all_and_simulate.do

this compiles and runs the UART TB example and I see it passes successfully

1 Like

graemej, when I follow your example I do not get errors and the simulation runs. I run my compilation of the VHDL files by means of a batch file and that seems to work fine. But when files are loaded by Questasim I got these errors.
All file are compiled through a batch file that also takes care that Questasim starts

Reports from the batch file and the Questasim transcript file can be found here:
https://www.albertenmiriam.nl:5001/sharing/RcWknjWbI

Best Regards,

Albert