UVVM version 2020.08.18 just released

We just pushed a new release :

  • Removed C_VVCT_ALL_INSTANCES as it is a duplicate of ALL_INSTANCES.
  • Replaced ALL_ENABLED_INSTANCES option with ALL_INSTANCES in Scoreboard VIP.
  • Changed Scoreboard max instances constant name to C_MAX_SB_INSTANCE_IDX.
  • Changed Scoreboard to be instance of Utility Library generic_sb_pkg.
  • Fixed missing possibility to exit a TRANSMIT / WRITE loop in UART TX and SBI VVCs.
  • Added missing reset of terminate cmd request flag in UART TX VVC.
  • Added deprecated warning to ti_data_fifo_pkg, ti_data_queue_pkg, ti_data_stack_pkg and
    ti_generic_queue_pkg. These will no longer be maintained and users should use the
    packages in Utility Library.
  • Updated UART, RGMII, SPI and I2C BFM QRs with required BFM configuration information.
  • Added fix with gen_pulse() method for slv with don’t care.
  • Added explicit paths for framework methods in SBI VVC executor and interpreter.
  • Fixed missing code generated from vvc_generator.
  • Added missing deallocating of memory in BFMs.
  • Removed dependencies reference from IRQC DUT compile_src.do script file.
  • Added more info to set_log_destination method in Util QR.
  • Removed Spec Cov VIP compile dependency on VVC Framework when using compile_src.do script.
  • Generated code from vvc_generator.py will now compile without user editing.
  • Spec Cov VIP will list requirements that are not found in the requirement file.