UVVM in Vivado / xsim

Hi, is it possible to use UVVM with Vivado 2022.2?
If so is there example of how to setup the environment like there is with UVM:
UVM IN VIVADO
Thank you in advance

Hi,
No, that version is still missing some important VHDL-2008 features.
We hope this will be fixed this year.
If you let your contact in AMD/Xilinx know this is important to you, it will get more attention.
– Espen

Thank you for your fast reply,
You mention this version as 2022.2, is there any other Vivado version that can handle UVVM?

As for importance of Vivado and UVVM, xsim is not a must. So far I been using Modelsim with UVVM but with current project I need to simulate much longer periods of time then ever before and Modelsim is simply to slow for that. I hoped that xsim could help and solve that issue. Can you recommend any other simulation tool that works well with UVVM and could improve simulation time?

I understand that could be confusing, but I just wanted to say that we hope a newer version this year will handle this. Older versions do not.
I haven’t tested various simulation tools for speed, but if you are using one of the Modelsim variants that come with one of the other technology provider, that could be an intentionally slowed down version.
Alternatives that we know work are Modelsim, Questa, Active HDL, Riviera PRO and GHDL. Questa and Riviera PRO would most probably be the faster ones.

Thank you, I will try to get my hands on Riviera Pro and see if there is any improvement in simulation times.