ALDEC Active-HDL supported?

Hello.

I have run into compilation problems trying to use the uvvm_util with Aldeg Active-HDL.

Is Active-HDL really supported? It is claimed to do so on the inventas (bitvis) web site, but I am not sure about that right now.

I can compile the files listed in ${UVVM_ROOT}/uvvm_util/script/compile_order.txt, except the last one.
I simply complain that it can not find context item “uvvm_util.global_signals_and_shared_variables_pkg”.

Many thanks for any help with figuring out what I did wrong.
BR,
/Ulf Andersson
VHDL Test Bench Dillettante

Hi,
What Aldec version are you running?

Br,
Marius

Hello Marius,

I am using version 10.5. Which one should I be using?

BR,
/Ulf

Hi, you should be able to use any version, but I think there have been some versions that have bugs, i.e. not full VHDL 2008 support. I tested compilation of UVVM Utility library now without any issues using this version:
Aldec, Inc. Riviera-PRO version 2019.04.134.7332 built for Windows64 on May 10, 2019

Does it complain about the context file? That is a 2008 feature so there might be a bug.

Br,
Marius

Hello again Marius.

Yes, the compiler complains about not finding one of the already compiled packages. Here are the lines about that error:

# File: C:\Users\UlfAn\Git\UVVM\uvvm_util\src\uvvm_util_context.vhd
# Compile Context Declarations “uvvm_util_context”
# Error: COMP96_0055: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/uvvm_util_context.vhd : (20, 17): Cannot find context item “uvvm_util.global_signals_and_shared_variables_pkg”.

This is the context file and it is last file in uvvm_util to compile.

And here is the log statement about the compilation of the “offending” package:

# File: C:\Users\UlfAn\Git\UVVM\uvvm_util\src\global_signals_and_shared_variables_pkg.vhd
# Compile Package “global_signals_and_shared_variables_pkg”
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (27, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (28, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (29, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (30, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (31, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (32, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (33, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (34, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (35, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (36, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (37, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (38, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)
# Warning: COMP96_0564: …/…/…/…/…/…/…/…/Git/UVVM/uvvm_util/src/global_signals_and_shared_variables_pkg.vhd : (42, 3): Shared variable is not of a protected type. (IEEE 1076-2002, 4.3.1.3)

Thank you for responding this quickly.

BR,
/Ulf

Hi Ulf,
My test was with Riviera Pro, so maybe the two Aldec simulators do not run on the same engine. The warnings are because of the shared variables are not a protected type, but it should not make the context file fail since they are only warnings. I do not have that simulator installed in my system, but I can send Aldec an email and ask them if this is a bug.

Br,
Marius

Hi again Marius,
I would much appreciate if you could poke Aldec about this.
Since I don’t own the license I run on, I have very limited direct support. I believe your reputation within there is sort of higher… :slight_smile:

And thank you very much for your help.

BR,
/Ulf

Hi Ulf,
I’ve sent them an email and will notify you when I get a response.

Br,
Marius