/src/rand_pkg.vhd(6999) Illegal type conversion from std.STANDARD.INTEGER_VECTOR to work.types_pkg.t_natural_vector (array element constraint difference)

Hello!
I use Modelsim SE-64 10.1c
I git clone last version of uvvm.

do …/…/uvvm/uvvm_util/script/compile_src.do …/…/uvvm/uvvm_util ./uvvm_sim

It says:
** Error: …/…/uvvm/uvvm_util/script/…/src/rand_pkg.vhd(6999): (vcom-1077) Illegal type conversion from std.STANDARD.INTEGER_VECTOR to work.types_pkg.t_natural_vector (array element constraint difference).

How to fix it?

Installing modelsim 2021.3 resolves this problem

Always great when people find solutions to their own issues :slight_smile:

– Espen