How to read data back in the sequencer from VVC component

A post was split to a new topic: Randomization seed